Ask Question, Ask an Expert

+61-413 786 465

info@mywordsolution.com

Ask Other Engineering Expert

Problem 1:

The tuner for an FM radio requires an RLC series resonance band-pass filter with a resonance (central) frequency of 100 MHz (frequency of the FM station) and a bandwidth of 2 MHz. The aim here is to design a filter capable of passing the signals from the FM broadcast radio while rejecting the interfering signals from amateur radios and Military aircraft radios. The usual frequency bands reserved for the use of amateur radio is around 50 MHz and the frequency bands reserved for the use of Military aircraft radio is around 200 MHz.

a.) Calculate the:

i. magnification factor Q of the filter

ii. required value of inductance L

iii. required value of capacitance C

iv. required value of resistance R

v. cut-off frequencies

vi. Prove that the interfering signal originated from amateur radio at 50 MHz is reduced by at least 95%.

vii. Prove that the interfering signal originated from military aircraft radio at 200 MHz is reduced by at least 95%.

b.) Use Multisim to simulate your design and provide the complete circuit diagram of the filter (Please use screenshot).

c.) Provide the gain magnitude plot of your filter at the resonance frequency, the cut-off frequencies, the amateur radio frequency of 50 MHz, and the military aircraft radio frequency of 200 MHz. (Please use screenshot).

Problem 2:

Assuming that you have an ac power source of 10V (60Hz). Design a silicon based filtered full-wave bridge rectifier circuit that gives dc voltage output Vdc, varying at most ±5%. (If required use first diode approximation in your calculations.)

a.) Calculate the required value of resistance R b.) Calculate the required value of capacitance C

c.) Provide the complete circuit diagram of the rectifier circuit (including the values of R and C)

d.) Use Multisim to simulate the waveforms at the:

i. input and output of the transformer (use colour coding and labels),

ii. input and output of the rectifier (use colour coding and labels), for confirming that the requirements have been met

iii. Measure the average output dc voltage by using a multimeter. (Please use screenshot).

Problem 3:

Assume that you are working in America at a company that designs, tests, manufactures and markets various electronic instruments including dc power supply. The standard line voltage and frequency in America is 120V and 60 Hz, respectively. Your first assignment is to design an electrically isolated filtered Silicon based power supply that can produce dc output voltages of 9V±10% and -9V±10% with a maximum load current of 100mA. The voltages are to be switch selectable across one set of output terminals and the ripple voltage must not exceed 0.25V rms. Please use second diode approximation in your calculations.

a.) Calculate the:

i. required value of the load resistance RL
ii. required value of the capacitor C in order to fulfil the requirements of the circuit.

b.) Provide the complete circuit diagram of your design including the values of the each component, explain and justify your reasoning regarding your circuit design and values of the components used.

NB: You will need to use the mains as an input to your power supply and a transformer with one input and one output to the full-wave bridge rectifier. It is also preferable to use a surge resistance of 1Ω at the unfiltered output of the circuit in order to prevent too much current flow through the circuit. In order to simplify your calculations please neglect the effect of the 1Ω surge resistance.

Other Engineering, Engineering

  • Category:- Other Engineering
  • Reference No.:- M91951756

Have any Question?


Related Questions in Other Engineering

Select a risk problem from the list below and prepare a

Select a risk problem from the list below and prepare a risk management plan in accordance with AS/NZS ISO 31000:2009. Please ensure that: - Establish the context clearly, in accordance with the Standard; - Define your s ...

Task 1using the lab kit design a circuit for the processor

Task 1: Using the lab kit, design a circuit for the processor to control the output of a connected 7-segment LED display device. You will be provided with a standard common anode 7-segment display of the type FND-507 (or ...

Question - show that the zero-point energy of a simple

Question - Show that the zero-point energy of a simple harmonic oscillator does not contribute to its entropy or heat capacity, but does contribute to its energy and Helmholtz function.

Conceptual design of forced-free-mixed convection

Conceptual Design of Forced-Free-Mixed Convection Experiment This assessment is to be completed individually. 1. Learning Outcomes: - Develop a basic ability to conceptually design an experimental apparatus - Use theory ...

Part 1configure verify and troubleshoot wan links and ip

Part 1 Configure, verify and troubleshoot WAN links and IP services Answer to all questions below: 1. What is the name of the Safe Work Australia code of practise that provides guidance on how to manage the risks of elec ...

This is your second design assignment this assignment

This is your second design assignment. This assignment requires you to design a complex state machine. You are designing a digital alarm clock. There are various designs you can attempt. More complex designs are worth mo ...

Engineering analysis assignment -for every problem provide

Engineering Analysis Assignment - For every problem, provide The MATLAB script/function files that solve the problems. Problem 1: Plot the function f(t) = (x+5) 2 /(4+3x 2 ) for -3 ≤ x ≤ 5. using plot command. Use the ar ...

Projectflow processing of liquor in a mineral refining

Project Flow Processing of Liquor in a Mineral Refining Plant The aim of this project is to design a flow processing system of liquor (slurry) in a mineral (aluminum) refining plant. Aluminum is manufactured in two phase ...

Question 1 define rock mechanics discuss the main

Question 1 . Define rock mechanics. Discuss the main objectives of the application of rock mechanics in mining. Question 2. Define stress. Draw a diagram and define the normal and shear stress by resolving the resultant ...

Projection of planes1 a regular pentagon of 25 mm side has

Projection of Planes 1. A regular pentagon of 25 mm side has one side on the ground. Its plane is inclined at 45° to H.P. and perpendicular to the V.P. Draw its projections. 2. Draw the projection of a circle of 50 mm di ...

  • 4,153,160 Questions Asked
  • 13,132 Experts
  • 2,558,936 Questions Answered

Ask Experts for help!!

Looking for Assignment Help?

Start excelling in your Courses, Get help with Assignment

Write us your full requirement for evaluation and you will receive response within 20 minutes turnaround time.

Ask Now Help with Problems, Get a Best Answer

Why might a bank avoid the use of interest rate swaps even

Why might a bank avoid the use of interest rate swaps, even when the institution is exposed to significant interest rate

Describe the difference between zero coupon bonds and

Describe the difference between zero coupon bonds and coupon bonds. Under what conditions will a coupon bond sell at a p

Compute the present value of an annuity of 880 per year

Compute the present value of an annuity of $ 880 per year for 16 years, given a discount rate of 6 percent per annum. As

Compute the present value of an 1150 payment made in ten

Compute the present value of an $1,150 payment made in ten years when the discount rate is 12 percent. (Do not round int

Compute the present value of an annuity of 699 per year

Compute the present value of an annuity of $ 699 per year for 19 years, given a discount rate of 6 percent per annum. As